"Научно-технические статьи" - подборка научно-технических статей радиоэлектронной тематики: новинки электронных компонентов , научные разработки в области радиотехники и электроники , статьи по истории развития радиотехники и электроники , новые технологии и методы построения и разработки радиоэлектронных устройств, перспективные технологии будущего, аспекты и динамика развития всех направлений радиотехники и электроники , обзоры выставок радиоэлектронной тематики.

Компания АМIС Technology уже достаточно известна на российском рынке микросхем памяти. Будучи последователем знаменитой UMC Group, компания AMIC Technology продолжает идти "на гребне волны" в производстве полного спектра продукции памяти. Что же касается применения микросхем памяти, то говорить об этом много нет смысла - она применяется везде. И если с постоянной памятью все более или менее понятно, то выбор оперативной памяти является довольно сложной задачей. Сколько существует микросхемотехника, столько же существует вопрос, что лучше - медленная, трудноуправляемая, но дешевая динамическая память, либо быстрая, напрямую сопрягаемая с процессором, но дорогая статическая память? Возможно, теперь есть компромиссное решение.

Принципы работы статической памяти

Статическая память называется статической именно потому, что информация в ней "статична", то есть, что я туда положил, то я оттуда и возьму через любой промежуток времени. Такая статичность достигается за счет использования в качестве базового элемента обычного триггера, собранного, например, на паре транзисторов.

P-N переходы транзисторов, на которые поданы постоянные смещения, надежно держат разность потенциалов, либо питание, либо землю (без учета падения напряжения на самом переходе), и возможно лишь два стабильных состояния, условно называемые "0" и "1". Располагаются транзисторы на кремниевой подложке, внутри которой формируются P-N переходы.

Таким образом, простейшим статическим элементом памяти емкостью 1 бит можно считать триггер, построенный на четырех P-N переходах. Теперь, если эти триггеры рассортировать, скажем, по 8, и на каждый из них вывести ножку дешифратора 3x8, то получится простейшая ячейка памяти емкостью 1 байт, которую уже можно адресовать, подав соответствующее значение на дешифратор. Выстроив линейку из таких дешифраторов, и применив к ней дешифратор более высокого порядка, мы уже получим полноценную микросхему статической памяти. Скорость выборки данных из статической памяти будет определяться лишь временем переходного процесса в полупроводниках, а скорость эта довольно большая. Поэтому время доступа к статической памяти исчисляется единицами наносекунд. Что же касается энергопотребления, то оно будет определяться, в основном, током через P-N переходы. Ну и, наконец, наиболее привлекательной стороной статической памяти является возможность прямого сопряжения с процессором, так как адресация осуществляется напрямую по шине адреса с указанием номера (адреса) ячейки.

При всех плюсах, у статической памяти есть достаточно серьезные недостатки. Что же получится, если мы захотим сделать статическую память очень большого объема? Для этого, помимо монтажа огромного количества триггеров, нужно как-то выворачиваться с дешифратором на огромное количество выводов. Не для кого не секрет, что сложность дешифратора растет с увеличением количества адресуемых объектов. Дешифратор 1x2 выполняется на одном триггере с прямым и инверсным выходами, 2x4, уже на 4-х элементах, а попробуйте сделать дешифратор 10x1024! А это всего 1 килобит! Применяется каскадирование дешифраторов, но от этого страдает скорость. Сделать можно, конечно же, все, но за это надо платить, что и доказывается стоимостью быстрой статической памяти большого объема.

Принципы работы динамической памяти

Еще Майкл Фарадей, проводя опыты по прохождению электрического тока через конденсатор, заметил, что последний способен хранить информацию о начальных условиях. Это свойство конденсатора, или просто емкости, и используется при построении элемента динамической памяти. Рассмотрим незаряженный конденсатор, когда разность потенциалов между его клеммами равна нулю. Приложим на некоторое время к конденсатору напряжение, равное напряжению питания. А что значит "некоторое время"? А это такое время, за которое заряд успеет перетечь с входных клемм на обкладки конденсатора. По истечению этого времени отключим конденсатор от нашего источника. Теоретически этот конденсатор будет хранить наше напряжение бесконечно долго, таким образом становясь подобным триггеру на двух транзисторах.

Все это было бы хорошо, если бы не реальная жизнь. В качестве диэлектрика используется оксидная пленка какого-нибудь металла (скажем, алюминия). Эта диэлектрическая пленка обладает хоть и малой, но проводимостью, а следовательно, конденсатор начинает разряжаться через эту оксидную пленку, тем самым выделяя на ней тепло и теряя информацию. Как только напряжение на емкости достигает минимально допустимого значения, мы вновь подключаем к конденсатору наше напряжение питания и вновь заряжаем его, после чего отводим клеммы. Вот эта процедура и есть всем известная и ненавистная процедура регенерации динамической памяти, которую каждый определенный промежуток времени проводит контроллер динамической памяти.

Для адресации динамической памяти используются не прямые адресные сигналы процессора, а адресные сигналы процессора, пропущенные через контроллер динамической памяти и еще сигналы CAS и RAS, вырабатываемые контроллером. Динамическая память имеет матричный принцип строения, и сигнал CAS стробирует выборку колонки, а сигнал RAS стробирует выборку ряда в этой колонке. Без сигналов CAS и RAS динамическая память становится бесполезной, так как способна хранить информацию без регенерации всего в течение нескольких микросекунд. На первый взгляд, в динамической памяти все плохо: и использование внешнего контроллера, и сложность управления. Но есть и значительные плюсы. Выполнить матрицу конденсаторов значительно проще, чем матрицу триггеров, достаточно "вставить" диэлектрики в нужных местах, а значит, динамическая память будет значительно дешевле статической. При необходимости создания динамической памяти большого объема тоже нет проблем, надо "вставить" диэлектрики чаще и быстрее проводить регенерацию. Поэтому динамическая память и получила большее распространение, чем статическая.

Динамическое ядро + статический интерфейс = SuperRAM

Когда-нибудь все мечты становятся реальностью. Мечтал человек получить динамическую память со статическим интерфейсом - и получил SuperRAM от AMIC Technology. Идея здесь предельно проста. Если для управления динамической памятью требуется дополнительный контроллер, то почему бы не встроить его в саму микросхему памяти. У читателя резонно возникнет вопрос: зачем это нужно? Ведь в современных микропроцессорах и микроконтроллерах есть интерфейсы динамической памяти? Отвечаю: да, вы правы, но микроконтроллеры, имеющие этот интерфейс, резко выделяются ценой, естественно в большую сторону. Далее, в подавляющем большинстве случаев это 32-разрядные процессоры, работающие с большой тактовой частотой, и применение к ним динамической памяти по меньшей мере нецелесообразно (если, конечно, не требуется больших объемов). Третье: большинство приложений до сих пор остались восьми-и шестнадцатиразрядными, где и контроллера DRAM нет, и быстродействие соответствующее, а вот объемы памяти зачастую требуются очень даже значительные. Вот именно для таких применений и существует SuperRAM от компании AMIC Technology.

Работа подобной памяти достаточно проста. Процедура регенерации динамического ядра SuperRAM происходит автоматически по истечению определенного времени (когда значения напряжения на емкостях упадут ниже критических), и стробирование происходит постоянно. При запросе процессором определенной ячейки адрес ее приходит на входной буфер микросхемы SuperRAM. И дальше, с первым же сигналом стробирова-ния отправляется к ядру SuperRAM, из которого и происходит выборка значений. Для процессора не имеет значения, что к нему подключена динамическая память, он работает с ней как с менее быстрой статической. Преимущества SuperRAM налицо: прямое сопряжение с совершенно любым процессором или устройством, у которого есть шина данных, адреса и сигналы выбора и записи, не требуется подключения дополнительного контроллера, осуществляющего регенерацию, большой объем за счет присутствия динамического ядра, низкая стоимость. Для примера приведем технические характеристики одного из последних представителей семейства SuperRAM от AMIC Technology - микросхемы A64E16161:

  1. Объем: 32 Мбит, организованных 2 Мх 16 бит.
  2. Время доступа по адресу: 70 нс.
  3. Время доступа к странице: 25 нс.
  4. Рабочий ток 20 мА, ток режима standby 10 мкА.
  5. Полная совместимость с интерфейсом SRAM. Не требуется регенерации или стробирования.
  6. Напряжение питания от 1,65 до 2,2 В.

Будущее SuperRAM

Сказать, что у подобного решения есть будущее - это ничего не сказать. Сейчас компания AMIC Technology достигла рубежа 32 Мбит, но не намерена на этом останавливаться. Уже в начале 2004 года, используя технологию 0,13 мкм, планируется начать серийное производство микросхем серии SuperRAM емкостью 64 Мбит. Время доступа также будет существенно уменьшено, а питание 2,0 В для микросхем памяти является одной из передовых возможностей. По своим возможностям и по стоимости такие продукты могут создать конкуренцию уже имеющимся модулям памяти, таким как SIMM, DIMM, SDRAM и даже DDR, что является немаловажным при проектировании систем нового поколения.

Статическая оперативная память с произвольным доступом (SRAM, static random access memory) -- полупроводниковая оперативная память, в которой каждый двоичный или троичный разряд хранится в схеме с положительной обратной связью, позволяющей поддерживать состояние сигнала без постоянной перезаписи, необходимой в динамической памяти (DRAM). Тем не менее, сохранять данные без перезаписи SRAM может только пока есть питание, то есть SRAM остается энергозависимым типом памяти. Произвольный доступ (RAM -- random access memory) -- возможность выбирать для записи/чтения любой из битов (тритов) (чаще байтов (трайтов), зависит от особенностей конструкции), в отличие от памяти с последовательным доступом (SAM -- sequental access memory).

Двоичная SRAM

Рис. 1.

Типичная ячейка статической двоичной памяти (двоичный триггер) на КМОП-технологии состоит из двух перекрёстно (кольцом) включённых инверторов и ключевых транзисторов для обеспечения доступа к ячейке (рис. 1.). Часто для увеличения плотности упаковки элементов на кристалле в качестве нагрузки применяют поликремниевые резисторы. Недостатком такого решения является рост статического энергопотребления.

Линия WL (Word Line) управляет двумя транзисторами доступа. Линии BL и BL (Bit Line) -- битовые линии, используются и для записи данных и для чтения данных.

Запись. При подаче «0» на линию BL или BL параллельно включенные транзисторные пары (M5 и M1) и (M6 и M3) образуют логические схемы 2ИЛИ, последующая подача «1» на линию WL открывает транзистор M5 или M6, что приводит к соответствующему переключению триггера.

Чтение. При подаче «1» на линию WL открываются транзисторы M5 и M6, уровни записанные в триггере выставляются на линии BL и BL и попадают на схемы чтения.

Восьмитранзисторная ячейка двоичной SRAM описана в .

Переключение триггеров через транзисторы доступа является неявной логической функцией приоритетного переключения, которая в явном виде, для двоичных триггеров, строится на двухвходовых логических элементах 2ИЛИ-НЕ или 2И-НЕ. Схема ячейки с явным переключением является обычным RS-триггером. При явной схеме переключения линии чтения и записи разделяются, отпадает нужда в транзисторах доступа (по 2 транзистора на 1 ячейку), но в самой ячейке требуются двухзатворные транзисторы.

В настоящее время появилась (!) усовершенствованная схема с отключаемой сигналом записи обратной связью, которая не требует транзисторов нагрузки и соответственно избавлена от высокого потребления энергии при записи.

Троичная SRAM

Рис. 2. Проект троичной SRAM на трёхразрядных однозначных троичных триггерах

Один логический элемент 2ИЛИ-НЕ состоит из двух двухзатворных транзисторов, три -- из шести, плюс три транзистора доступа, всего -- девять транзисторов на одну трёхразрядную ячейку памяти.

Преимущества

· Быстрый доступ. SRAM -- это действительно память произвольного доступа, доступ к любой ячейке памяти в любой момент занимает одно и то же время.

· Простая схемотехника -- SRAM не требуются сложные контроллеры.

· Возможны очень низкие частоты синхронизации, вплоть до полной остановки синхроимпульсов.

Недостатки

· Высокое энергопотребление.

· Невысокая плотность записи (шесть элементов на бит , вместо двух у DRAM).

· Вследствие чего -- дороговизна килобайта памяти.

Тем не менее, высокое энергопотребление не является принципиальной особенностью SRAM, оно обусловлено высокими скоростями обмена с данным видом внутренней памяти процессора. Энергия потребляется только в момент изменения информации в ячейке SRAM.

Применение

SRAM применяется в микроконтроллерах и ПЛИС, в которых объём ОЗУ невелик (единицы килобайт), зато нужны низкое энергопотребление (за счёт отсутствия сложного контроллера динамической памяти), предсказываемое с точностью до такта время работы подпрограмм и отладка прямо на устройстве.

В устройствах с большим объёмом ОЗУ рабочая память выполняется как DRAM. SRAM"ом же делают регистры и кеш-память.

DRAM (dynamic random access memory) -- тип энергозависимой полупроводниковой памяти с произвольным доступом (RAM), также запоминающее устройство, наиболее широко используемое в качестве ОЗУ современных компьютеров.

Физически память DRAM состоит из ячеек, созданных в полупроводниковом материале, в каждой из которых можно хранить определённый объём данных, от 1 до 4 бит. Совокупность ячеек такой памяти образуют условный «прямоугольник», состоящий из определённого количества строк и столбцов. Один такой «прямоугольник» называется страницей, а совокупность страниц называется банком. Весь набор ячеек условно делится на несколько областей.

Как запоминающее устройство, DRAM-память представляет собой модуль различных конструктивов, состоящий из электрической платы, на которой расположены микросхемы памяти и разъём, необходимый для подключения модуля к материнской плате.


Рис. 3. Рис. 3.1

Физически DRAM-память представляет собой набор запоминающих ячеек, которые состоят из конденсаторов и транзисторов, расположенных внутри полупроводниковых микросхем памяти.

При отсутствии подачи электроэнергии к памяти этого типа происходит разряд конденсаторов, и память опустошается (обнуляется). Для поддержания необходимого напряжения на обкладках конденсаторов ячеек и сохранения их содержимого, их необходимо периодически подзаряжать, прилагая к ним напряжения через коммутирующие транзисторные ключи. Такое динамическое поддержание заряда конденсатора является основополагающим принципом работы памяти типа DRAM. Конденсаторы заряжают в случае, когда в «ячейку» записывается единичный бит, и разряжают в случае, когда в «ячейку» необходимо записать нулевой бит.

Важным элементом памяти этого типа является чувствительный усилитель (англ. sense amp), подключенный к каждому из столбцов «прямоугольника». Он, реагируя на слабый поток электронов, устремившихся через открытые транзисторы с обкладок конденсаторов, считывает всю страницу целиком. Именно страница является минимальной порцией обмена с динамической памятью, потому что обмен данными с отдельно взятой ячейкой невозможен.

Регенерация

В отличие от статической памяти типа SRAM (англ. static random access memory), которая является конструктивно более сложным и более дорогим типом памяти и используется в основном в кэш-памяти, память DRAM изготавливается на основе конденсаторов небольшой ёмкости, которые быстро теряют заряд, поэтому информацию приходится обновлять через определённые промежутки времени во избежание потерь данных. Этот процесс называется регенерацией памяти. Он реализуется специальным контроллером, установленным на материнской плате или же на кристалле центрального процессора. На протяжении времени, называемого шагом регенерации, в DRAM перезаписывается целая строка ячеек, и через 8-64 мс обновляются все строки памяти.

Процесс регенерации памяти в классическом варианте существенно тормозит работу системы, поскольку в это время обмен данными с памятью невозможен. Регенерация, основанная на обычном переборе строк, не применяется в современных типах DRAM. Существует несколько более экономичных вариантов этого процесса -- расширенный, пакетный, распределённый; наиболее экономичной является скрытая (теневая) регенерация.

память компьютерный триггер кэш

Триггеры

Триггер (триггерная система) -- класс электронных устройств, обладающих способностью длительно находиться в одном из двух или более устойчивых состояний и чередовать их под воздействием внешних сигналов. Каждое состояние триггера легко распознаётся по значению выходного напряжения.

По характеру действия триггеры относятся к импульсным устройствам -- их активные элементы (транзисторы, лампы) работают в ключевом режиме, а смена состояний длится очень короткое время.

ОЗУ, собранное на триггерах, называется статической памятью с произвольным доступом или просто статической памятью. Достоинство этого вида памяти -- скорость. Поскольку триггеры собраны на вентилях, а время задержки вентиля очень мало, то и переключение состояния триггера происходит очень быстро. Данный вид памяти не лишён недостатков. Во-первых, группа транзисторов, входящих в состав триггера, обходится дороже, даже если они вытравляются миллионами на одной кремниевой подложке. Кроме того, группа транзисторов занимает гораздо больше места, поскольку между транзисторами, которые образуют триггер, должны быть вытравлены линии связи. Используется для сверхбыстрого ОЗУ.

Статическая память

Статическая память (SRAM ) обычно применяется в качестве кэш-памяти второго уровня (L2) для кэширования основного объема ОЗУ. Статическая память выполняется обычно на основе ТТЛ-, КМОП- или БиКМОП-микросхем и по способу доступа к данным может быть как асинхронной , так и синхронной . Асинхронным называется доступ к данным, который можно осуществлять в произвольный момент времени. Асинхронная SRAM применялась на материнских платах для третьего - пятого поколений процессоров. Время доступа к ячейкам такой памяти составляло от 15 нс (33 МГц) до 8 нс (66 МГц).

Синхронная память обеспечивает доступ к данным не в произвольные моменты времени, а одновременно (синхронно) с тактовыми импульсами. В промежутках между ними память может готовить для доступа следующую порцию данных. В большинстве материнских плат пятого поколения используется разновидность синхронной памяти - синхронно-конвейерная SRAM (Pipelined Burst SRAM), для которой типичное время одиночной операции чтения/записи составляет 3 такта, а групповая операция занимает 3-1 - 1 - 1 такта при первом обращении и 1 - 1 - 1 - 1 при последующих обращениях, что обеспечивает ускорение доступа более чем на 25 %.

SRAM в качестве элементарной ячейки использует так называемый статический триггер (схема которого состоит из нескольких транзисторов). Статический тип памяти обладает более высоким быстродействием и используется, например, для организации кэш-памяти.

Async SRAM (Асинхронная статическая память). Это кэш-память, которая используется в течение многих лет с тех пор, как появился первый 386-й компьютер с кэш-памятью второго уровня. Обращение к ней осуществляется быстрее, чем к DRAM, и может, в зависимости от скорости процессора, использовать варианты с 20-, 15- или 10-нс доступом (чем меньше время обращения к данным, тем быстрее память и тем короче может быть пакетный доступ к ней). Тем не менее, как видно из названия, эта память является недостаточно быстрой для синхронного доступа, что означает, что при обращении процессора все-таки требуется ожидание, хотя и меньшее, чем при использовании DRAM.

SyncBurst SRAM (Синхронная пакетная статическая память). При частотах шины, не превышающих 66 МГц, синхронная пакетная SRAM является наиболее быстрой из существующих видов памяти. Причина этого в том, что, если процессор работает на не слишком большой частоте, синхронная пакетная SRAM может обеспечить полностью синхронную выдачу данных, что означает отсутствие задержки при пакетном чтении процессором 2-1-1 - 1, т. е. синхронная пакетная SRAM выдает данные в пакетном цикле 2-1-1 - 1. Когда частота процессора становится больше 66 МГц, синхронная пакетная SRAM не справляется с нагрузкой и выдает данные пакетами по 3-2-2-2, что существенно медленнее, чем при использовании конвейерной пакетной SRAM. К недостаткам относится и то, что синхронная пакетная SRAM производится меньшим числом компаний и поэтому стоит дороже. Синхронная пакетная SRAM имеет время адрес/данные от 8,5 до 12 нс.

Существует несколько основных конструктивных особенностей синхронной пакетной SRAM, которые делают ее существенно превосходящей асинхронную SRAM при использовании в качестве высокоскоростной кэш-памяти:

Синхронизация с системным таймером. В простейшем смысле это означает, что все сигналы запускаются от фронта сигнала таймера. Получение сигналов по фронту тактового импульса таймера существенно упрощает создание быстродействующей системы;

Пакетная обработка. Синхронные пакетные SRAM обеспечивают высокое быстродействие при небольшом количестве логических схем, организующих циклическую работу памяти с последовательными адресами. Четырехадресная пакетная последовательность может быть перемежающейся для совместимости с Intel или линейной для PowerPC и остальных систем.

Указанные особенности дают микропроцессору возможность более быстрого доступа к последовательным адресам, чем это можно сделать при других способах использования технологии SRAM. Хотя у некоторых поставщиков и имеется асинхронная SRAM 3.3V со временем таймер-данные, равным 15 нс, конвейерная синхронная пакетная SRAM, выполненная по такой же технологии, может обеспечить время таймер-данные менее 6 нс.

РВ SRAM (Конвейерная пакетная статическая память). Конвейер - это распараллеливание операций SRAM с использованием входных и выходных регистров. Заполнение регистров требует дополнительного начального цикла, но, будучи однажды заполненными, регистры обеспечивают быстрый переход к следующему адресу за то время, пока по текущему адресу считываются данные.

Благодаря этому такая память является наиболее быстрой кэш-памятью для систем с производительностью шины более 75 МГц. РВ SRAM может работать при частоте шины до 133 МГц. Она, кроме того, работает не намного медленнее, чем синхронная пакетная SRAM при использовании в медленных системах: она выдает данные все время пакетами по 3-1-1 - 1. Насколько высока производительность этой памяти, можно видеть по времени адрес/данные, которое составляет от 4,5 до 8 нс.

1-Т SRAM . Как уже отмечалось ранее, традиционные конструкции SRAM используют статический триггер для запоминания одного разряда (ячейки). Для реализации одной такой схемы на плате должно быть размещено от 4 до 6 транзисторов (4-Т, 6-Т SRAM). Фирма Monolithic System Technology (MoSys) объявила о создании нового типа памяти, в которой каждый разряд реализован на одном транзисторе (1-Т SRAM). Фактически здесь применяется технология DRAM, поскольку приходится осуществлять периодическую регенерацию памяти. Однако интерфейс с памятью выполнен в стандарте SRAM, при этом циклы регенерации скрыты от контроллера памяти. Схемы 1-Т позволяют снизить размер кремниевого кристалла на 50-80 % по сравнению с аналогичными для традиционных SRAM, а потребление электроэнергии - на 75 %.

Статическая память - SRAM (Static Random Access Memory), как и следует из ее названия, способна хранить информацию в статическом режиме - то есть сколь угодно долго при отсутствии обращений (но при наличии питающего напряжения). Ячейки статической памяти реализуются на триггерах - элементах с двумя устойчивыми состояниями. По сравнению с динамической памятью эти ячейки более сложны и занимают больше места в кристалле, однако они проще в управлении и не требуют регенерации. Быстродействие и энергопотребление статической памяти определяется технологией изготовления и схемотехникой запоминающих ячеек.
Самая экономичная статическая память КМОП (или CMOS Memory) в тоже время и самая медленная память такого типа, имеет время доступа более 100 наносекунд, но зато пригодна для длительного хранения информации при питании от маломощной батареи. Применяется CMOS память в персональных компьютерах для хранения данных о конфигурации и для реализации внутренних часов.
Самая быстродействующая статическая память имеет время доступа в несколько наносекунд, что позволяет ей работать на частоте системной шины процессора, не требуя от него тактов ожидания. Относительно высокая удельная стоимость хранения информации и высокое энергопотребление при низкой плотности упаковки элементов не позволяет использовать SRAM в качестве оперативной памяти компьютеров.
Статические запоминающие устройства (SRAM) имеют перед динамическими то преимущество, что у них время выборки практически равно времени цикла записи или чтения. Выполненная по той же технологии что и процессор, статическая память имеет высокое быстродействие. Главным ограничением в использовании статической памяти является стоимость. При равной емкости с динамической, статическая память примерно в четыре раза дороже. Поэтому данный вид памяти получил распространение в высокопроизводительных системах в качестве внешней (относительно процессора) кэш памяти. Соотношение цена/производительность в этих системах играет не столь существенную роль. Однако, с появлением микросхем статической памяти большой емкости и ее удешевлением произойдет изменение сложившегося стереотипа использования схем памяти и производители компьютеров, возможно, пойдут на замену динамической памяти статической, пока же элементы статической памяти используются в оперативной динамической памяти, как быстрый конвейерный буфер для подготовки данных к выдаче на шину данных каждый такт системной шины.
Структура микросхемы статической памяти
Элементом памяти в статических ОЗУ является триггер, выполненный на транзисторах. Структура микросхемы статической памяти (рис.1.) включает матрицу накопителя содержащую М x N элементов памяти.

Схемы, в которых в качестве запоминающей ячейки используется параллельный регистр называются статическим оперативным запоминающим устройством - статическим ОЗУ (RAM - random access memory - память с произвольным доступом), т.к. информация в нем сохраняется все время, пока к микросхеме ОЗУ подключено питание. В отличие от статической ОЗУ в микросхемах динамического ОЗУ постоянно требуется регенерировать их содержимое, иначе информация будет испорчена.В современной компьютерной системе используется память различного типа: статическая (SRAM), динамическая (DRAM), постоянная память, перепрограммируемая постоянная память и некоторые другие виды памяти.

Но, основной памятью компьютера, определяющей всю его работу, является оперативная память - ОЗУ. Главное требование к памяти:

Первоначально оперативная память была статического типа . Ячейка ОЗУ строилась на базе транзисторного каскада, который мог содержать до 10 транзисторов. Быстродействие у статической памяти было высокое, поскольку время переключения транзисторов из одного состояния в другое очень мало. Однако, такое количество транзисторов в расчете на одну ячейку памяти занимало довольно большой физический объем, т.е., "втиснуть" большой объем памяти в малое физическое пространство оказалось невозможным. Второй неприятной особенностью статического массива памяти стал тот факт, что транзисторы потребляют относительно большой уровень энергии, что также накладывает свои ограничения на максимальный объем памяти. Указанных выше недостатков лишена динамическая память , ячейка которой состоит из конденсатора и управляющего транзистора. Когда конденсатор заряжен - это одно логическое состояние, когда разряжен - другое. Двух состояний вполне достаточно, поскольку компьютерная система работает с двоичным кодом (сигнал либо есть - логическая "1", либо сигнала нет - логический "0"). Конденсатор и транзистор занимают места гораздо меньше, чем несколько транзисторов. Энергопотребление такого тандема тоже гораздо ниже. Но, с быстродействием возникают проблемы. Тут причин несколько:

  • разряд/заряд конденсатора - процесс более длительный, чем простое переключение транзистора;
  • у конденсатора существует ток утечки, который тем больше (в относительных единицах), чем меньше емкость конденсатора. Поэтому, для нормальной работы динамической памяти требуется периодическая регенерация памяти (подзаряд конденсаторов), что усложняет электрическую схему работы динамической памяти.

Но, поскольку, основополагающим требованием для оперативной памяти является ее объем (современные модули памяти имеют объем в несколько Гб), то динамическая память оказалась предпочтительней, несмотря на то, что она работает медленнее и имеет сложную схему управляющего контроллера.

Основой ячейки памяти в ЗУ статического типа является триггер. В качестве базовых элементов для реализации триггера могут использоваться как биполярные транзисторы, так и полевые. Однако первые не нашли широкого применения в силу большой потребляемой мощности построенных на их основе микросхем памяти. Поэтому оптимальным является использование полевых транзисторов. На рис.1 представлен триггер на МОП-транзисторах с индуцируемым p-каналом. Для отпирания такого транзистора напряжение на его затворе относительно истока должно быть меньше нуля: U зи <0.

Рис. 1 - Принципиальная схема ячейки ОЗУ статического типа.

В микросхемах ОЗУ присутствуют две операции: операция записи и операция чтения. Для записи и чтения информации можно использовать различные шины данных (как это делается в сигнальных процессорах), но чаще используется одна и та же шина данных. Это позволяет экономить внешние выводы микросхем, подключаемых к этой шине и легко осуществлять коммутацию сигналов между различными устройствами.

Структурная схема статического ОЗУ приведена на рисунке 2. Вход и выход ОЗУ в этой схеме объединены при помощи шинного формирователя . Естественно, что схемы реальных ОЗУ будут отличаться от приведенной на этом рисунке. Тем не менее, приведенная схема позволяет понять как работает реальное ОЗУ. Условно-графическое обозначение ОЗУ на принципиальных схемах приведено на рисунке 3.

Рис. 2 - Структурная схема ОЗУ (RAM)

Сигнал записи WR позволяет записать логические уровни, присутствующие на информационных входах во внутреннюю ячейку ОЗУ (RAM). Сигнал чтения RD позволяет выдать содержимое внутренней ячейки памяти на информационные выходы микросхемы. В приведенной на рисунке 1 схеме невозможно одновременно производить операцию записи и чтения, но обычно это и не нужно.

Конкретная ячейка ОЗУ выбирается при помощи двоичного кода - адреса ячейки. Объем памяти ОЗУ (RAM) зависит от количества ячеек, содержащихся в ней или, что то же самое, от количества адресных проводов. Количество ячеек в ОЗУ можно определить по количеству адресных проводов, возводя 2 в степень, равную количеству адресных выводов в микросхеме:

Вывод выбора кристалла CS микросхем ОЗУ позволяет объединять несколько микросхем для увеличения объема памяти ОЗУ. Такая схема приведена на рисунке 3.


Рис. 3 - Схема ОЗУ, построенного на нескольких микросхемах памяти.

Статические ОЗУ требуют для своего построения большой площади кристалла, поэтому их ёмкость относительно невелика. Статические ОЗУ применяются для построения микроконтроллерных схем из-за простоты построения принципиальной схемы и возможности работать на сколь угодно низких частотах, вплоть до постоянного тока. Кроме того статические ОЗУ применяются для построения КЭШ-памяти в универсальных компьютерах из-за высокого быстродействия статического ОЗУ.